现在的位置: 首页 > FPGA开发 > 正文

Vivado中set_clock_groups时钟约束的使用

2020年10月17日 FPGA开发 ⁄ 共 407字 ⁄ 字号 Vivado中set_clock_groups时钟约束的使用已关闭评论 ⁄ 阅读 3,933 次

一、命令格式

        set_clock_groups [-asynchronous] [-exclusive] –group <names>

二、选项说明

        -asynchronous :顾名思义,时钟是异步不相关的,时钟有完全不同的时钟源

        -exclusive :时钟是互斥的,即时钟不会再同一时刻同时有效

        实际上,这两个选项的效果是完全一样的。… TimeQuest treats both options, “-exclusive” and “-asynchronous”, as if they were the same.

三、效果

       这与对各个时钟使用set_false_path的效果是完全一致的;不过结构远比set_false_path简洁,而且时钟越多效果越明显。

但是set_clock_groups -async不可以完全代替set_false_path。因为伪路径并不一定的跨时钟域路径。

×