现在位置: 首页 > iic master
2018年03月10日 FPGA开发 ⁄ 共 7004字 IIC总线—-iic master使用VHDL的FPGA实现已关闭评论 ⁄ 阅读 8,768 次
代码参考网上大神程序,加了一些修改 贴出代码: 写了个testbench测试了一下这个模块,仿真时许如下: iic-tb iic_tb library ieee; use ieee.std_logic_1164.all; entity iic_master is generic ( clock_frequency : positive := 100000000; baud : positive := 100000 ); port ( i_clk : in std_logic; i_rst_n : in std_logic; i_addr : in std_logic_vector(6 downto 0); --目标地址 i_rw : in std_lo...
阅读全文
×